Departamentul de Calculatoare şi Inginerie Electrică
Facultatea de Inginerie
Universitatea "Lucian Blaga" din Sibiu
ro
 
     
 
IN MEMORIAM - prof. dr. ing. Lucian Vinţan
Membru titular al Academiei de Stiinte Tehnice din Romania 

e-mail: lucian.vintan@ulbsibiu.roweb: http://webspace.ulbsibiu.ro/lucian.vintan/htmloffice: IE111

1 - Studii:
  • 1982-1987:  Institutul Politehnic "Traian Vuia" din Timisoara, Facultatea de Electrotehnica, specializarea de Calculatoare (echivalent master). Proiectul de diploma s-a intitulat: "Sistem multi-microprocesor cu CPU MC 68000 realizat in jurul magistralei VME standard", coordonator: prof.univ.dr.ing. Vasile POP (notat cu 10).
  • 1993-1997: Doctorat in specialitatea "Calculatoare", Ord.Min.Inv. 5374/20.11.1997 (cu teza "Contributii la optimizarea arhitecturilor cu paralelism la nivelul instructiunilor"), la Universitatea "Politehnica" Timisoara, Facultatea de Automatica si Calculatoare (conducator stiintific: prof. univ. dr. ing. Crisan STRUGARU, membru de onoare al Academiei de Stiinte Tehnice din Romania, laureat al Premiului "Traian Vuia" al Academiei Romane in 1983 - robot industrial REMT, creator al microsistemului Tim-S, al unor sisteme de achizitie si prelucrare informatii sateliti polari NOAA, testoare industriale etc.)
2 - Experienta profesionala si manageriala:
  • 1987-1991: inginer specialist testare si diagnoza minisisteme de calcul la I.I.R.U.C. (Intreprinderea pt. Intretinerea si Repararea Utilajelor de Calcul) Bucuresti, sectia "Sisteme si minisisteme de calcul" / filiala Sibiu; 1991-1994: inginer specialist testare si diagnoza minisisteme si microsisteme de calcul la S.C. Praxis Computer SRL, Sibiu
  • 1991 - 2000: asistent univ./sef lucrari/conferentiar universitar, Universitatea "Lucian Blaga" din Sibiu, Facultatea de inginerie, Catedra de calculatoare
  • 1996 - 2012: Membru al Consiliului Facultatii de Inginerie, Universitatea "Lucian Blaga" din Sibiu
  • 1999 - 2002: prodecan al Facultatii de inginerie (cercetare stiintifica), Universitatea "Lucian Blaga" din Sibiu
  • 2000 - 2008 & 2012-prezent: Membru al Senatului Universitatii "Lucian Blaga" din Sibiu
  • 2004 - prezent: Director al "Centrului de cercetare pentru arhitecturi avansate de procesare a informatiei" (CCAAPI) din cadrul Universitatii "Lucian Blaga" Sibiu - a se vedea http://acaps.ulbsibiu.ro/  (Decizia Rectorului ULBS nr.260/06.10.2004; Decizie Senat ULBS 26.02.2014 )
  • 2000 - prezent: profesor universitar (Ord. Ministrului MEdC nr.3772/10.05.2000 / Decizia ULBS nr. 174/15.05.2000), Universitatea "Lucian Blaga" din Sibiu, Facultatea de inginerie, Dept. de Calculatoare & IE, disciplinele: "Sisteme cu microprocesoare", "Simularea si optimizarea arhitecturilor de calcul", "Arhitecturi avansate", "Research Methods in Advanced Computing Architectures" (Master de cercetare)
  • 2001 - 2012 & 2015 - prezent: conducator de doctorat in domeniul "Calculatoare si tehnologia informatiei" la Universitatea "Lucian Blaga" din Sibiu (Ord. Ministrului MEdC nr.5288/28.12.2001; reconfirmat prin O.M. nr. 1805/20.08.2007). 5 fosti doctoranzi au obtinut titlul de doctor pana in anul 2011, fiind primii 5 doctori in domeniul "stiintei calculatoarelor" care au absolvit doctoratul la ULBS.
  • 2012 - 2015: conducator de doctorat in domeniul "Calculatoare si tehnologia informatiei" la Universitatea Tehnica din Cluj-Napoca (Ordinul Ministrului MEdCTS nr. 3499/12.03.2012 ).  
3 - Activitatea stiintifica si didactica:
  • Am adus contributii stiintifice originale si fertile in urmatoarele domenii, avand peste 700 de citari internationale pana in anul 2016: algoritmi avansati de aritmetica binara implementati in hardware/software; implementarea unor noi instructiuni-masina si functii de biblioteca prin microprogramare dinamica (accelerator limbaj Fortran 77 pe minisistemul I-102F/4M, compatibil DEC PDP11/45); metode analitice de evaluare si optimizare ale unor microarhitecturi avansate; am fost printre primii care au initiat in arhitectura calculatoarelor dezvoltarea unor metode analitice de cercetare - ca alternativa la metodele de simulare&benchmarking - prin 2 articole publicate la conferinte importante  din strainatate (Euromicro) in anii 1996 respectiv 1997; modelarea, simularea si optimizarea unor arhitecturi avansate de cache-uri (algoritmi de control adaptiv al acestora, ex. "Selective Victim Cache"); modelarea si simularea unor noi scheme de predictie dinamica a branch-urilor; spre ex., conceptul de "dynamic neural branch prediction" l-am introdus in premiera mondiala, fiind recunoscut ca atare si citat, pana in anul 2016, in peste 100 de lucrari stiintifice internationale  (articole, carti si brevete) ale unor grupuri prestigioase de cercetare, academice si industriale, de la Univ. Berkeley, Rutgers, Purdue, Virginia etc. din SUA, Politecnica Barcelona din SPANIA, INRIA din FRANTA, Milano din ITALIA etc. respectiv de la companiile INTEL,  HEWLETT-PACKARD etc. - v.  LISTA DE LUCRARI ! ; dezvoltarea unei metodologii de identificare a branch-urilor dificil predictibile (nepolarizate - "unbiased", cvasi-aleatoare) si extinderea contextului relevant de reprezentare, in vederea predictibilitatii acestora; branch-uri pre-calculabile dinamic (concept citat si dezvoltat ulterior, in special in arhitecturi de tip Simultaneous MultiThreading - SMT); dezvoltarea unor metrici de caracterizare a gradului de aleatorism al secventelor de simboluri, bazate pe compresibilitate, entropie discreta, predictibilitate Hidden Markov Models-HMM, complexitate Kolmogorov-Chaitin; determinarea gradelor maxime de paralelism la nivel de instructiuni si a influentelor diferitelor limitari asupra acestora; modelarea si simularea unor procesari predictiv-speculative, implementabile in microarhitecturile avansate (ex. ideea originala de predictie dinamica a valorilor centrata pe contextul CPU - ca alternativa a centrarii pe instructiuni, cum s-a propus anterior - publicata in revista cotata ISI, Comp.& Digit. Techn., UK, iulie 2005); reutilizarea dinamica si predictia valorilor instructiunilor cu latenta ridicata in arhitecturile superscalare si SMT - am aratat ca acestea pot fi implementate in conditii de consum energetic rezonabil; arhitecturi multi-core si many-core (explorarea euristic/ontologica a spatiului de proiectare in vederea optimizarilor multi-obiectiv, proiectarea Network on Chip si maparea optimala a thread-urilor pe nodurile acestora, in cadrul paradigmei de programare paralela etc); modelarea si implementarea unor procese de predictie a contextului in aplicatii de tip "ubiquitous computing" (in vederea implementarii pro-activitatii in sistem, bazat pe predictoare stohastice Markov, HMM,  neuronale, Bayes-iene, hibride etc.); clasificarea/clusterarea automata a documentelor (prin metode de tip nucleu, ex. algoritmi de invatare de tip "Support Vector Machine", Bayes, neuronale, metode hibride-metaclasificatoare, meta-optimizare - IEEE Transactions on CAD etc.).
  • Publicarea a peste 100 de articole stiintifice in reviste de specialitate din strainatate sau in cadrul unor conferinte stiintifice internationale sub egida IEEE, IEE, ACM etc., dintre care peste 40 indexate / cotate (ISI) Thomson Reuters, in Romania, S.U.A., Portugalia, Italia, Austria, Cehia, Ungaria, Polonia, Germania, Olanda, China, Spania, Coreea, Turcia etc. Pentru detalii a se consulta  LISTA LUCRARILOR, anexata acestui Curriculum Vitae.
  • Publicarea a peste 50 de articole stiintifice in reviste de specialitate din tara ori la sesiuni stiintifice nationale, majoritatea ca unic sau prim autor
  • Publicarea a 6 monografii stiintifice de specialitate: "ARHITECTURI DE PROCESARE CU PARALELISM LA NIVELUL INSTRUCTIUNILOR", Editura Academiei Romane, 264 pag., Bucuresti, 2000; "MICROARHITECTURI DE PROCESARE A INFORMATIEI", Editura Tehnica, Bucuresti, 312 pg., 2000; "PREDICTIE SI SPECULATIE IN MICROPROCESOARELE AVANSATE", Editura Matrix Rom, 90 pag., Bucuresti, 2002; "SIMULAREA SI OPTIMIZAREA ARHITECTURILOR DE CALCUL IN APLICATII PRACTICE", Editura Matrix Rom, 443 pag., Bucuresti, 2003 - distinsa cu Premiul "Tudor Tanasescu" al Academiei Romane, decernat in 2005, "A SYSTEMATIC APPROACH TO PREDICT UNBIASED BRANCHES" (in Engleza, 111 pg.), "Lucian Blaga" University Press, Sibiu, 200 . Penultima mea carte publicata este intitulata "PREDICTION TECHNIQUES IN ADVANCED COMPUTING ARCHITECTURES" (in Engleza, cu o prefata de Prof. dr. doc. Theo Ungerer), Matrix Rom Publishing House, Bucharest 2007 (300 pagini - comanda online la: www.matrixrom.ro). Ultima mea carte: "FUNDAMENTE ALE ARHITECTURII MICROPROCESOARELOR", Matrix ROM, 2016 (547 pg.)
  • Publicarea a 3 cursuri universitare si a unei carti de aplicatii practice pentru studenti
  • Am finalizat cu succes 10 granturi nationale de cercetare stiintifica in calitate de DIRECTOR DE PROIECT (CNCSIS, ANSTI, MCT, CNCSU), castigate in perioada 1998-2007 pe baza de competitie, cu punctaje f. bune (detalii in LISTA LUCRARILOR, anexata acestui Curriculum Vitae)
  • Conduc doctoranzi care desfasoara activitati de cercetare stiintifica in domeniile microarhitecturilor avansate, web mining respectiv sistemelor paralele si distribuite de prelucrare a informatiei. 7 fosti doctoranzi - Adrian Florea, Daniel Morariu, Arpad Gellert, Radu Cretulescu, Ciprian Radu, Horia Calborean, Radu Chis - au obtinut titlul de doctor pana in anul 2017.
4 - Recunoastere nationala si internationala:
  • Membru in comitetul tehnic al organizatiei internationale "The International Association of Science and Technology for Development" (IASTED), Canada, comisia "Parallel and Distributed Computing and Systems" (din anul 2000)
  • "Visiting Researcher" (cercetator invitat) la Universitatea din Hertfordshire, Marea Britanie, (1996, 3 luni, am activat in grupul de arhitecturi avansate de procesare a informatiei condus de catre prof. dr. Gordon B. Steven; am lucrat aici la proiectul HSA - Hatfield Superscalar Architecture)
  • "Visiting Researcher" la Universitatea din Augsburg, Germania - cercetari in domeniul "context prediction in ubiquitous computing" (2003, 3 luni, finantare prin DAAD NATO, Germania, am lucrat in Institutul de cercetari al profesorului dr. Theo Ungerer la proiectul "Smart Doorplates" - a se vedea pt. detalii http://www.informatik.uni-augsburg.de/lehrstuehle/info3/research/)
  • Referent al revistelor stiintifice de specialitate (selectiv): "IEEE Transactions on Computers" (2008 TC Reviewers List, IEEE Transactions on Computers, vol. 58, no. 1, pp. 139-144, Jan. 2009, doi:10.1109/TC.2009.1 - http://www.computer.org/plugins/dl/pdf/trans/tc/2009/01/ttc2009010139.pdf?template=1&loginState=1&userData=anonymous-IP%253A%253AAddress%253A%2B188.24.214.17%252C%2B%255B172.16.161.5%252C%2B188.24.214.17%252C%2B127.0.0.1%255D), "IEE Proceedings. Computer and Digital Techniques", Anglia (2001, 2003), Transactions on HiPEAC (din 2010), "International Journal of Computers, Communications & Control" (IJCCC, permanent), "Studies in Computational Intelligence (SCI). Advances in Intelligent and Distributed Computing", Volume 78, Springer-Verlag Berlin Heidelberg (2008), "Concurrency and Computation: Practice and Experience", John Wiley & Sons, Ltd.(#CPE-08-0006, 2008), ACM TECS etc.; Membru al Comitetului editorial al Buletinul Institutului Politehnic din Iasi, Automatic Control and Computer Science Section - v. http://www.ace.tuiasi.ro/index.php?page=678 etc.
  • Consultant oficial pe probleme de stiinta si ingineria calculatoarelor al companiei Siemens AG CT IC, Munchen, Germania (2002-2006, Prof. Hartmut Raffler, Siemens Vice-President)
  • Expert al Consiliului National pentru Cercetarea Stiintifica din Invatamantul Superior (CNCSIS) pentru cercetarea stiintifica universitara din domeniul "Stiinta Calculatoarelor" precum si pentru evaluarea granturilor din domeniile "Calculatoare & Tehnologia Informatiei" (2001 - prezent, v. http://www.cncsis.ro/panelev.php); Membru al grupului consultativ al Presedintelui CNCSIS
  • Numeroase citari nationale si, mai ales, internationale (peste 700 de citari internationale pana in anul 2016) ale lucrarilor publicate, multe in publicatii de prestigiu international, acreditate ISI Thomson Journals precum "IEEE Transactions on Computers", "ACM Transactions on..." etc. (v. mai jos, paragraful 5). Spre exemplu, cateva comentarii asupra lucrarilor mele publicate de personalitati stiintifice internationale de prestigiu mondial:
  •  Dr. Alan Fern et al. (Purdue University, USA),  Journal of Systems Architecture, Elsevier, 2006: "[...] prior to our original Dynamic Decision Tree Predictor there was only one such proposal [Vintan]."
  • Dr. Daniel Jimenez (Rutgers University, USA) scrie intr-unul din articolele sale stiintifice publicate intr-o revista de nivel stiintific maxim (ACM Transactions on Computers, 2/2005): "Dynamic branch prediction with neural methods was first proposed by Vintan."
  • Dr. David Tarjan & Dr. Kevin Skadron (Virginia University, USA) scriu in ACM Transactions on Architecture and Code Optimization, 2005: " The idea of the neural branch predictor was originally introduced by Vintan."
  • Dr. M. Monchiero & G. Palermo (Politechnica di Milano): "Branch predictors based on neural methods have been recently studied [Vintan 99], [Jimenez 02,03], showing that they are the most accurate predictor in the literature." (LNCS, 2005)
  • Dr. M. Aamer et al (University of Pennsylvania, TR 2005): “In this paper we detail and implement the pre-computed branch prediction algorithm described in [Vintan et al].”
  • Prof. dr. Theo Ungerer (University of Augsburg, Germany, Report, 2002 & Preface to my book, 2007): "Prof. Vintan is one of the rare European scientist working in the research field of prediction techniques in computer architecture [...] his neural branch predictor is well-known in the research community."
  • Prof. Gordon Steven (University of Hertfordshire, UK, Report, 2002): "I have always been extremely impressed by Prof. Vintan's novel research ideas and by the very large amount of research he manages to successfully undertake."
  • Authors from (INTEL CO, USA, HPCA 2004 Conf.): „Perceptrons have been proposed earlier for branch prediction [Vintan]…”
  • Dr. Colin Egan (University of Hertfordshire, UK, 2003, in Journal of Systems Architecture): "The first known perceptron branch predictor was developed by Vintan."
  • Culpepper B., Gondree M. (University of California, UC Davis, USA, 2005): "There is a growing trend among researchers to apply machine learning techniques to the problem of branch prediction, starting with Vintan ." (Techn. Rep. 2005)
  • Amilcar Arfel Molina D'iaz (University Politecnica Catalunya, TR 2005, Barcelona): "La idea de este perceptron fue introducida originalmente por Vintan." (Cited Paper, 2005)
  • Dr. Jan Petzold (University of Augsburg, Germany, PhD Thesis, 2005): "Prof. dr. Lucian Vintan danke ich fur die Zusammen arbeit und die vielen hilfreichen Diskussionen wahrend und nach seines Aufenthaltes in Augsburg."
  • Dr. Veerle Desmet (Gent University, Belgium, PhD Thesis, 2006): "Vintan first proposed dynamic branch prediction based on neural networks."
  • J. Singer et al. (Proc. of The HiPEAC International Conference, 2007): "Vintan pioneers the idea of using perceptrons for branch prediction"
  • Professors J. Silc, T. Ungerer, B. Robic (International Journal on High Performance Systems Architecture, vol. 1, no. 1, 2007): "The first dynamic neural branch predictors were proposed by Vintan"
  • Dr. M. Black ( Applying Perceptrons to Speculation in Computer Architecture- Neural Networks in Future Microprocessors, Vdm Verlag, 2007): " It is important to note that this (my note: referring to Jimenez's paper published in 2000) is not the very first neural branch predictor proposed. Two neural approaches were proposed in a paper by Vintan in 1999."
  • Dr. Pan et al (Northwestern Polytechnical University, Xi’an, China, ACSAC 2007): “These years, some new methods are introduced such as Lucian N. Vintan’s pre-computed branches”
  • Dr. A. Seznec (Journal of ILP 9, USA, 2007): "The introduction of the neural based branch predictors [Vintan, Jimenez] provided a solution for effectively combining several predictions."
  • O. Kirby (TR, Canada, 2007): "The earliest proposal for using neural networks to predict branches we are aware of was by Vintan."
  • V. Uzelac (MSc Thesis, USA, 2009): "Neural branch prediction is first proposed by Vintan. Vintan considers branch prediction as a particular problem in a broader class of pattern recognition problems that can be solved by neural networks."
  • Dr. D. Jimenez (University of Texas at San Antonio, USA: An Optimized Scaled Neural Branch Predictor, Paper IEEE ICCD 2011 Conference): “The SNP/SNAP (Scaled Neural Analog Predictor) predictor is based on neural branch prediction, a technique introduced by Vintan [21] and refined by Jimenez et al. [8].”
  • Professor Emeritus Lotfi A. Zadeh (University of California, Berkeley, USA,  2012): “Your work [Vintan] appears to be very interesting.”
  • Membru in zeci de comisii de doctorat / abilitare respectiv de concurs (referent) pentru posturi de profesor univ. si conferentiar univ. (Bucuresti, Timisoara, Iasi, Cluj-Napoca, Craiova, Arad, Sibiu, Brasov, Suceava etc.)
  • 2005 - prezent: Expert independent al Comisiei Europene (EC) in domeniul stiintei si ingineriei calculatoarelor; Activitati: evaluare proiect FP6/FP7 "High Performance Embedded Architectures and Compilers" - HiPEAC 1 si 2, la LAquila, Italia, iulie 2005 si 2006; Barcelona, Spania, noiembrie 2005 si 2007, martie 2009; Ghent, Belgia, noiembrie 2006; Brussels, iunie 2007, octombrie 2008, iunie 2010, mai 2011; Paphos, Cipru, ianuarie 2009; Munchen, iunie 2009; Pisa, Italia, ianuarie 2010; Heraklion, Creta, ianuarie 2011; Goteborg, Suedia, aprilie 2012 - www.hipeac.net. Expert evaluator al EC FP7-ICT-Call-1, STREPs Evaluation, Computing Systems, 18-22 iunie 2007, Brussels; Expert evaluator al EC FP7-ICT Evaluation, Computing Systems, 25-29 Mai 2009, Brussels; Expert evaluator al EC FP7-FET Open, 6-10 iulie 2009, Brussels; Expert evaluator FP7-ICT Call 7 FP7-ICT Computing Systems, 7-12 martie, 2011, Comisia Europeana, Brussels; FP7-ICT-2013.3.4 Advanced computing, embedded and control systems, February 25-March 1, 2013, EC Brussels, ETC. 
  • Membru al "Comisiei de stiinte ingineresti" a CNCSIS, in perioada octombrie 2005 - decembrie 2008 (www.cncsis.ro)
  • 2006 - prezent: Membru in Comisia de “Calculatoare, tehnologia informatiei si ingineria sistemelor” din cadrul “Consiliului National de Atestare a Titlurilor, Diplomelor si Certificatelor Universitare” (CNATDCU), cf. OMEdC nr. 3904/10.05.2006, Anexa 3; renumit cf. OMECTS nr.  3982/2011, OMECTS nr. 5744/12.09.2012, OMENCS 4.106/10.06.2016
  • Invitat sa conferentieze asupra cercetarilor proprii in domeniul microarhitecturilor de calcul la Universitatea din Delft ("Predictive Processes implemented in Advanced Architectures" - http://ce.et.tudelft.nl/cecoll/), Olanda, 2007 (+Prof. Stamatis Vassiliadis, fost Chair in Computer Engineering Dpt.) respectiv la Universitatea din Augsburg, Germania, (Prof. Theo Ungerer) February 2010 ("Anticipatory Techniques in Advanced Processor Architectures" - v.  http://www.math.uni-augsburg.de/Math-Net/VK/docs/2010/02/18_Do_07_00.html)
  • Membru in International Program Committees (IPC) la conferintele stiintifice: High Performance Computing & Simulation - HPC&S 2005 in Latvia; HPC&S 2006 in Bonn, Germania; HPC&S 2009 in Leipzig; Asia-Pacific Computer Systems Architecture Conference - ACSAC 2006, in China (Shangai); RoEduNet, Sibiu 2006, 2010 si Craiova 2007; Architecture of Computing Systems - ARCS 2007, in Zurich, Elvetia; Asia-Pacific Computer Systems Architecture Conference - ACSAC 2007, in Seoul, Coreea de Sud; EuroPar 2007 in Rennes, Franta; High Performance Computing & Simulation - HPC&S 2007, in Cehia (Praga); International Symposium on Intelligent and Distributed Computing - IDC 2007 si SINTES 2007 in Romania (Craiova); SACCS 2007 in Romania (Iasi); IASTED PDCS 2007, Cambridge Massachusettes, SUA; ICCCC 2008 Oradea; ARCS 2008, Dresden, Germany; IASTED PDCN 2008, Innsbruck, Austria; ACSAC 2008, Taiwan; IDC 2008, Italia; DTA 2008, China; ARCS 2009, Delft, Olanda; ARCS 2010 Hannover, Germania; SAMOS Workshop 2009, 2010; International Conf. on Control Systems and Computer Science (CSCS-17), Bucharest 2009; India - AST 2010; IDC 2010 - Maroc; DTA 2010 - Filipine, ICAdET 2010 - Oradea, ARCS 2011 - Milano, HPCS 2011 - Turcia, IDC 2011 - Olanda, CSCS-18 2011- Romania, ICSTCC-15 2011-Romania, IASTED PDCS 2011-SUA, ISCA-CBP3 2011 - SUA, EDCC 2012 - Sibiu, ARCS-2012 - Germania, NANOARCH-2012  - Amsterdam, PDCS-2012 - Las Vegas, ICWL-2012 - Sinaia, HPCS-2012 - Madrid etc., etc.
  • Co-editor al capitolului "Topic 4. High-Performance Architectures and Compilers" din volumul "Euro-Par 2007, Parallel Processing", 13-th International Euro-Par Conference, Rennes, France, August 28-31, 2007, Proceedings. Lecture Notes in Computer Science 4641 Springer 2007, ISBN 978-3-540-74465-8
  • Prezentari în lucrarile “Who’s Who in Romania”, Editia princeps, Pegasus Press, Bucuresti, 2002; “Who’s Who in Science and Engineering, 2006-2007”, 9-th Edition, Marquis Who’s Who; Enciclopedia personalitatilor din Romania, Verlag fur Personenenzyklopedian AG, 2008; Monografia Academiei de Stiinte Tehnice din Romania (1997-2009), Editura AGIR, Bucuresti, 2010 etc.
  • Membru al Euro-Par Advisory Board - annual series of international conferences dedicated to the promotion and advancement of all aspects of parallel and distributed computing.
  • Membru al retelei europene de excelenta in arhitecturi de calcul HiPEAC (din 2012)
5 - Citari si comentarii de apreciere asupra lucrarilor mele se gasesc in (selectiv):
  • Chang M., Chou Y. (Chang-Gung University Taiwan) - "Branch prediction using both global and local branch history information", publicat in revista "IEE Proceedings - Computers and Digital Techniques", vol. 149, No.2, United Kingdom, March 2002); Chang M., Chiu T., Chang C. (Chang-Gung University, Taiwan) - "Employing both inter-branch and intra-branch correlation to improve the accuracy of branch prediction", publicat National Computer Symposium, pp. 2280-2284, Taiwan, 2003) - legat de contributiile mele in completarea informatiei de predictie respectiv predictoare dinamice neuronale
  • V. Lungu - "Procesoare Intel- Programare in limbaj de asamblare", Editura Teora, Bucuresti, 2000, ISBN 973-20-0436-3 (ed.I, 2000; ed. a 2-a, 2004)
  • Steven F.L. - "An Introduction to the Hatfield Superscalar Scheduler", University of Hertfordshire, United Kingdom, Technical Report No.316/98, 1998 si in R.D. Potter -"Exploiting the Limitations of Fine Grained Parallelism for a Superscalar Architecture", PhD Thesis, University of Hertfordshire, U.K., October, 1998
  • Dan Grigoras - "Calculul paralel", Computer Libris Agora, ISBN 973-97534-6-9, 2000
  • Boldur Barbat - "Sisteme inteligente orientate spre agent", Editura Academiei Romane, Bucuresti, 2002
  • Adrian Petrescu - "Calculatoare numerice 1,2", U.P. Bucuresti, 2001 (http://www.csit-sun.pub.ro/courses/) si 2007 v. http://www.csit-sun.pub.ro/courses/cn2/Bibliografie_CN2_06.pdf; Adrian Petrescu, Nirvana Popescu, Cornel Popescu, “Calculatoare numerice I”, Printech, Bucuresti, ISBN 978-973-718-730-7, 2007
  • D. Jimenez, L. Calvin - "Neural Methods for Dynamic Branch Prediction", ACM TRANSACTIONS ON COMPUTER SYSTEMS (TOCS), vol.20, Issue 4 (November 2002), pg. 369-397, ISSN 0734-2071, ACM Press, New York, USA; Daniel A. Jimenez - "Fast Path-Based Neural Branch Prediction", Proceedings of the 36th Annual International Symposium on MICROARCHITECTURE (MICRO-36), San Diego, CA, December 2003; Sunghoon Kim - "Branch Prediction using Advanced Neural Methods", TR, University of California, Berkeley, 2003; Toate aceste citari se refera la cercetarile mele in domeniul predictiei dinamice a ramificatiilor de program (Dynamic Neural Branch Prediction).
  • A. Fern, R. Givan, B. Falsafi, and T. N. Vijaykumar - "Dynamic feature selection for hardware prediction", Journal of Systems Architecture, vol. 52, No.4, ISSN: 1383-7621, Elsevier, April 2006 + Technical Report TR-ECE 00-12, School of Electrical and Computer Engineering, Purdue University, 2000 (http://min.ecn.purdue.edu/~afern/web-stuff/jsa-submission.pdf)
  • Hunt S., Egan C., Shafarenko A. - "A simple yet accurate neural branch predictor", IASTED Int'l Conf. on Artificial Intelligence and Application (AIA), Malaga, Spain, September 2003
  • Falcon A., Stark J., Ramirez A., Lai K., Valero M. (Eckert-Mauchly Award!) - "Prophet/Critic Hybrid Branch Prediction", International Symposium on Computer Architecture (ISCA '04), Munich, Germany, 2004 (ISCA este cea mai prestigioasa conferinta din lume in domeniul microarhitecturilor de mare performanta; autorii articolului sunt cercetatori renumiti ai companiei INTEL CO., HP respectiv ai Universitatii "Politecnica" din Barcelona)
  • Tarjan D., Skadron K. - "Revisiting the Perceptron Predictor Again", Technical Report CS-2004-28, University of Virginia, USA, 2004
  • Arun Lakshrninarayanan, Swmya Shriraghavan - "Neural Branch Prediction", CS6290 Project, College of Computing, Georgia Tech, USA
  • Petzold J., Bagci F., Trumler W., Ungerer T. - Confidence Estimation of the State Predictor Method, Lecture Notes in Computer Science, Springer-Verlag Heidelberg, ISSN: 0302-9743, Volume 3295 / 2004
  • Monchiero M., Palermo G. - The Combined Perceptron Branch Predictor, Technical Report No. 2004.35, 2004, Politecnico di Milano, Italy (republished in Proceedings of International EuroPar Conference, Lisboa, Portugal, August 30 - September 2, 2005)
  • Seznec A. - The O-GEHL branch predictor, The JILP Championship Branch Predictors Workshop, December 4-8, 2004, Portland Oregon, SUA
  • Haitham Akkary, Srikanth T. Srinivasan, Rajendar Koltur, Yogesh Patil, Wael Refaai - Perceptron-Based Branch Confidence Estimation, International Conf. HPCA 04, Madrid, Spain, February 14-18 2004 (Autorii sunt de la INTEL CO, citandu-ma legat de prioritatea "dynamic neural branch prediction"
  • Seznec A. - Analysis of the O-GEometric History Length Branch Predictor, 32-nd Annual ISCA CONFERENCE, Madison, Wisconsin USA, June 4-8, 2005
  • Petzold J., Bagci F., Trumler W., Ungerer T. - Next Location Prediction Within a Smart Office Building, 3rd International Conference on Pervasive Computing, Munich, Germany, May 2005
  • Daniel A. Jiménez - Improved Latency and Accuracy for Neural Branch Prediction, ACM TRANSACTIONS ON COMPUTER SYSTEMS (TOCS), vol. 23, no.2, May 2005
  • Falcon A., Stark J., Ramirez A., Lai K., Valero M. - Better Branch Prediction Through Prophet/Critic Hybrids, IEEE MICRO, January/February 2005 (Vol. 25, No. 1)
  • P. Nurmi, M. Martin, John A. Flanagan - Enabling proactiviness through Context Prediction In Proceedings of the Workshop on Context Awareness for Proactive Systems, Helsinki, 16 - 17 June, 2005.
  • He Liqiang, Z. Liu - A New Value Based Branch Predictor for SMT Pocessors, Proceedings of Parallel and Distributed Systems, ACTA Press, 2005
  • Tarjan D., Skadron K. - Merging path and Gshare Indexing in Perceptron Branch Prediction, ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, vol.2, no 3, September 2005
  • Culpepper B., Gondre M. - SVMs for Improved Branch Prediction, University of California, UCDavis, USA, ECS201A Technical Report, 2005
  • Petzold J. - Zustandspradiktoren zur Kontextvorhersage in ubiquitaren Systemen, Teza de doctorat, Universitatea Augsburg, Germania, noiembrie 2005
  • Verdu J., Nemirovsky M., Garcia J., Valero M. - Workload Characterization of Stateful Networking Applications, International Symposium on High Performance Computing (ISHPC-6), Nara City, Japan, September 2005
  • Shatnawi A., Shatnawi M. - Improving Branch Predictors by Combining with Predicated Execution, Journal of Electrical Engineering, pg. 298-305, vol. 56, no. 11-12, 2005
  • Giese P. - Characterizing the Performance of Value Prediction using Statistical Simulation, Technical Report, University of Alberta, Canada, 2005
  • Gortz M. - Effiziente Echtzeit-Kommunikationsdienste durch Einbeziehung von Kontexten, PhD Thesis, Darmstadt University, July 2005
  • Verdu J., Nemirovsky M., Garcia J., Valero M. - Architectural Impact of Stateful Networking Applications, 1-st International Symposium on Architectures for Networking and Communications Systems (ANCS), Princeton, NJ, USA, October 2005
  • Seznec A. – Genesis of the O-GEHL branch predictor, Journal of Instruction Level Parallelism, vol.7, 2005
  • Seznec A., Michaud P. – A case for (partially) TAgged Geometric history length branch prediction, Journal of Instruction Level Parallelism, vol.8, 2006
  • Desmet V. - On the Systematic Design of Cost-Effective Branch Prediction, PhD Thesis, ISBN 90-8578-087-X, Gent University, Belgium, June 2006
  • Jaleel A. - The Effects of Aggressive Out-of-Order Mechanisms on the Memory Sub-system, PhD Thesis, University of Maryland, SUA, 2005
  • Ma Y., Gao H., Zhou H. - Using Indexing Functions to Reduce Conflict Aliasing in Branch Prediction Tables, IEEE TRANSACTIONS ON COMPUTERS, vol. 55, No. 8, August 2006 (IEEE TRANS. ON COMPUTERS este cea mai prestigioasa revista din lume in Computer Engineering!)
  • Patil S. et al. - Branch Prediction by Checking Loop Terminal Conditions, Information Systems: New Generations (ISNG) Conference Proceedings, April 2005, Las Vegas, NV, USA
  • Kejariwal A. and Nicolau A. - "Reading list of performance analysis, speculative execution", http://www.ics.uci.edu/~akejariw/SpeculativeExecutionReadingList.pdf, referita in Kejariwal A., Veidenbaum A.V., Nicolau A., Girkar M., Tian X., Saito H., "Challenges in Exploitation of Loop Parallelism in Embedded Applications", Proceedings of the 4-th International Conference on Hardware/Software Codesign and System Synthesis, South Korea, 2006.
  • Petzold J., Bagci F., Trumler W., Ungerer T. - Improving next location prediction by using hybrid predictors, Second International Workshop on Location- and Context-Awareness, Dublin, Ireland, May 2006; Petzold J., Bagci F., Trumler W., Ungerer T. - Comparison of different methods for next location prediction, European Conference on Parallel Computing, Dresden, Germany, August/September 2006.
  • Poojary V. - Predicting Loop Unrolling Impact in Open MP Programs Using Machine Learning, MSc Thesis, North Carolina State University, USA, 2005
  • Tarjan D. - Merging Path, Global and Local Indexing in Perceptron Branch Prediction, MSc Thesis, University of Virginia, USA, January 2007
  • Singer J., Brown G., Watson I. - Branch Prediction with Bayesian Networks, First Workshop on Statistical and Machine Learning Approaches applied to Architectures and Compilation (in conjunction with HiPEAC 2007 Conf.), pp. 96-112, Gent, Belgium, January 2007
  • Hammel K. - An Analysis of Adding a Backside Level-Two Cache to an Existing Microprocessor, MSc Thesis, The University of Texas at Austin, USA, May 2006
  • Zhang, Y., Pan, G., Xie, L. - Improved branch prediction algorithm based on the perceptron, Huazhong Keji Daxue Xuebao (Ziran Kexue Ban)/Journal of Huazhong University of Science and Technology (Natural Science Edition), Volume 33, Issue SUPPL., December 2005, pp. 101-103
  • Armoush, A., Serhan, S.I. - Compound global and local two-level adaptive branch predictor, Modelling, Measurement and Control, Volume 77, Issue 5-6, 2004, pages 49-59
  • Palnitkar, R.M., Cannady, J. - A review of adaptive neural networks, Conference Proceedings - IEEE SOUTHEASTCON, pp. 38-47, 2004
  • Silc J., Ungerer T., Robic B. - Dynamic Branch Prediction and Control Speculation, International Journal on High Performance Systems Architecture, vol. 1, no. 1, pp. 2-13, Inderscience Enterprises Ltd., 2007
  • Ribas, Luiz Vinicius Marra & Goncalves, Ronaldo Augusto de Lara - Evaluating Branch Prediction using Two-level Perceptron Table, Proceedings of The 14th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP 2006, 2006, art. no. 1613266, pp. 145-148
  • Trumler W. - Organic Ubiquitous Middleware, PhD Thesis, University of Augsburg, July 2006
  • Al-Zawawi A. S. - Transparent Control Independence (TCI), PhD Thesis, Raleigh, North Carolina University, USA, 2007
  • Petzold J., Bagci F., Trumler W., Ungerer T. - Hybrid predictors for next location prediction, Third International Conference on Ubiquitous Intelligence and Computing, Wuhan and Three Georges, China, September 2006
  • Hicks, M., Egan, C., Christianson, B. and Quick, P. – Towards an Energy Efficient Branch Prediction Scheme Using Profiling and Delay Region Scheduling, Proceedings of the International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS07), Rabat, Morocco, September, 2007
  • Akoush, Sherif; Sameh, Ahmed - Bayesian Learning of Neural Networks for Mobile User Position Prediction, Proceedings of 16th International Conference on Computer Communications and Networks, ICCCN 2007, USA, ISSN: 1095-2055, ISBN: 978-1-4244-1251-8, 13-16 Aug. 2007 Page(s):1234 - 1239
  • Aasaraai K., Baniasadi A. - A Power-Aware Alternative for the Perceptron Branch Predictor, Lecture Notes in Computer Science, Advances in Computer Systems Architecture, vol. 4697, ISSN 0302-9743 (Print) 1611-3349 (Online), ISBN 978-3-540-74308-8, Springer-Verlag Berlin / Heidelberg, 2007
  • Yongfeng Pan, Xiaoya Fan, Liqiang He and Deli Wang - A Bypass Mechanism to Enhance Branch Predictor for SMT Processors, Lecture Notes in Computer Science, Advances in Computer Systems Architecture, vol. 4697, ISSN 0302-9743 (Print) 1611-3349 (Online), ISBN 978-3-540-74308-8, Springer-Verlag Berlin / Heidelberg, 2007
  • Marko Palviainen - Technique for dynamic composition of content and context-sensitive mobile applications, PhD Thesis, ISSN 1455-0849 (URL: http://www.vtt.fi/publications/index.jsp),Tampere University of Technology, 2007
  • Takashi Yokota, Kanemitsu Ootsu, Takanobu Baba - Potentials of Branch Predictors —from Entropy Viewpoints—, Proceedings of ARCS 2008 Conference- Architecture of Computing Systems, TU Dresden, February 25th -28th 2008 http://arcs08.inf.tu-dresden.de/?n=6
  • Michael Black, Applying Perceptrons to Speculation in Computer Architecture, University of Maryland, College Park, USA, 2007 (also in his book entitled Applying Perceptrons to Speculation in Computer Architecture- Neural Networks in Future Microprocessors, Vdm Verlag, 2007)
  • Hongliang Gao, Yi Ma, Martin Dimitrov, Huiyang Zhou - Address-Branch Correlation: A Novel Locality for Long-Latency Hard-to-Predict Branches, The 14th International Symposium on High Performance Computer Architecture (HPCA-14), Feb., 2008
  • Tania Das, Abantika Choudhury, Debashis De - Movement Pattern Based Adaptive Location Management, The Fifth International Conference on Advances in Mobile Computing and Multimedia, Jakarta, Indonesia, December 3 - 5, 2007
  • A. Seznec – The L-TAGE Branch Predictor, Journal of Instruction Level Parallelism, pp. 1-13, no. 9, 2007
  • T. Yokota, K. Ootsu, and T. Baba (Japan) - Entropy Representation of Memory Access Characteristics and Cache Performance, Advances in Computer Science and Technology, ACST 2008, Langkawi, Malaysia, Editor(s): S. Sahni, 416 pages, 2008
  • Vojin Oklobdzija (Ed.) - The Computer Engineering Handbook. Digital Systems and Applications, 2 Volume Set, ISBN-10: 0849386004, CRC Press, 2-nd edition (1648 pp.; par. 2.3), 2008
  • Kwak, J.W., Jhon, C.S. - High-performance embedded branch predictor by combining branch direction history and global branch history, Computers & Digital Techniques, IET, Vol.2, Issue 2, March 2008
  • Park K.W., Lim S.S., Park K.H. - Computationally Efficient PKI-Based Single Sign-On Protocol, PKASSO for Mobile Devices, IEEE Transactions on Computers, Vol. 57, Issue 6, pages 821-834, June 2008
  • Owen Kirby, Shahriar Mirabbasi, and Tor M. Aamodt - Mixed-Signal Neural Network Branch Prediction, Technical Report, University of British Columbia, June 2007
  • K. Slany - Branch predictor on-line evolutionary system, Proceedings of the 10-th Annual Conference on Genetic and Evolutionary Computation, pages 1643-1648, ISBN:978-1-60558-130-9, Atlanta, GA, USA, 2008
  • Chow R., Zhong W., Blackmon M., Stolz R., Dowell M. - An efficient SVM-GA feature selection model for large healthcare databases, Proceedings of the 10-th Annual Conference on Genetic and Evolutionary Computation, Pages 1373-1380, ISBN:978-1-60558-130-9, Atlanta, GA, USA, 2008
  • Stephan Sigg - Development of a novel context prediction algorithm and analysis of context prediction schemes, Kassel University Press, ISBN 978-3-89958-392-2, Germany, 2008 (http://www.upress.uni-kassel.de/online/frei/978-3-89958-392-2.volltext.frei.pdf)
  • Javier Verdu Mula - Analysis and Architectural Support for Parallel Stateful Packet Processing, PhD Thesis, Universitat Politecnica de Catalunya, Barcelona 2008
  • Anwar Ali Yahya, Abd Rahman Ramli - Genetic-based approach for cue phrase selection in dialogue act recognition, Evolutionary Intelligence (2009), No. 1, pp. 253–269, ISSN 1864-5909 (Print), Springer Berlin / Heidelberg, http://www.springerlink.com/content/q595147183566236/fulltext.pdf
  • Carlos Ramos, Goreti Marreiros, Ricardo Santos, Carlos Filipe Freitas, Smart Offices and Intelligent Decision Rooms, in Handbook of Ambient Intelligence and Smart Environments (AISE), H. Nakashima, J. Augusto, H. Aghajan (ed.), Springer, 2009
  • Boytsov A., Zaslavski A, Synnes K., Extending Context Spaces Theory by Predicting Run-Time Context, Lecture Notes in Computer Science, Springer Berlin / Heidelberg, Vol. 5764/2009
  • Jing-Mei Li, Ping Jiao, Chao-Guang Men, The new kind structure design and research of loop and b-cache based on Gas Branch Prediction WRI World Congress on Computer Science and Information Engineering.780-785, ISBN: 978-0-7695-3507-4, Los Angeles, California USA, 2009
  • Cheng-Lung Huang, ACO-based hybrid classification system with feature subset selection and model parameters optimization, Neurocomputing, Volume 73, Issues 1-3, December 2009, Pages 438-448
  • Davis, Mark C., Jourdan, Stephan, Hinton, Robert L., Phelps, Boyd S., Overriding a static prediction with a level-two predictor, United States Patent 7533252, Assignee: Intel Corporation (Santa Clara, CA, US), Publication Date 05/12/2009 - http://www.freepatentsonline.com/7533252.html
  • Rakvic R., Gonzalez J., et al., Energy Efficiency via Threads Fusion and Value Prediction, IET Comput. Digit. Tech., vol. 4, Issue 2, pp. 114-125, March 2010
  • Diary Rawoof Sulaiman, Hardware Based: Dynamic Branch Prediction for Microprocessors Energy Reduction in Portable Systems, International Journal of Engineering Studies ISSN 0975- 6469 Volume 2, Number 2 (2010), pp. 193–205 © Research India Publications, http://www.ripublication.com/ijes.htm
  • Lei Wang and Qiong-Xian Zeng, BBQ - A Simple and Effective Approach to Backward Branch Predictions for Embedded Processors, Journal of Computers, Vol.20, No.4, January 2010, ISSN: 1991-1599, China, 2010, http://www.joc.iecs.fcu.edu.tw/E_index.htm
  • Dirk Bradler, Peer-to-Peer Concepts for Emergency First Response, PhD Thesis, Technical University of Darmstadt, 2010, http://tuprints.ulb.tu-darmstadt.de/2198/
  • Hongbo Si, Yue Wang, Jian Yuan, Xiuming Shan, Mobility Prediction in Cellular Network using Hidden Markov Model, 7-th Annual IEEE Consumer Communications & Networking Conference, ISBN:978-1-4244-5175-3, Las Vegas, Nevada, USA, January 2010, http://portal.acm.org/citation.cfm?id=1834482
  • Erich Bruns, Oliver Bimber, Localization and Classification through Adaptive Pathway Analysis, IEEE Pervasive Computing, ISSN: 1536-1268, August 2010, http://www.computer.org/portal/web/csdl/doi/10.1109/MPRV.2010.68
  • Art Vandenberg, Vijay K. Vaishnavi, Saravanaraj Duraisamy and Tianjie Deng - Dynamically Generating Context-Relevant Sub-Webs, Lecture Notes in Computer Science, 2010, Volume 6105/2010, 270-285, http://www.springerlink.com/content/g026x8m163061700/
  • ETC. O lista mult mai completa a citarilor se gaseste la: http://webspace.ulbsibiu.ro/lucian.vintan/html/Lista.doc
6 - Proiecte de dezvoltare academica si specializari:
  • Bursa individuala TEMPUS IMG-95-RO-2011, desfasurata la Universitatea Hertfordshire, Department of Computer Science, U.K., in perioada martie-mai 1996 (3 luni), pe baza invitatiei acestei universitati (laboratorul de arhitecturi de calcul avansate, prof.dr. Gordon B. Steven)
  • Coordonator general al proiectului international TEMPUS JEP AC 13559/1998 ("Retraining Support for Small and Medium Enterprises"), perioada 1998 - 2000, in valoare de peste 200.000 ECU-Euro (cca. 70.000 Euro la ULB Sibiu), in colaborare cu universitati din: Bucuresti ("Politehnica"), Brasov ("Transilvania"), Anglia (De Montfort, Leicester), Irlanda (Galway), Spania (Autonoma Barcelona) si Germania (Karlsruhe)
  • Vizite cooperare/specializare: Anglia (Universitatea De Montfort - 1999, 2000; Universitatea Hertfordshire - 1996, 1999, 2000), Republica Irlanda (Universitatea Galway - 1999), Spania (Universitatea Autonoma Barcelona - 1999, Universitatea "Politecnica" Barcelona - 2005), Suedia (Universitatea Lund - 2000), Germania (Universitatea din Karlsruhe - 2001; Siemens CT IC, Munchen, 2002, 2003, 2005; Universitatea din Augsburg - 2003, DAAD grant; Compania Continental Nuremberg - 2004) respectiv S.U.A. (Universitatea Columbia-Missouri - Visiting Scholar 1999, USIA)
  • In 2004 am fondat "Centrul de cercetare pentru arhitecturi avansate de procesare a informatiei" (CCAAPI) din cadrul Universitatii "Lucian Blaga" Sibiu - a se vedea http://acaps.ulbsibiu.ro/
  • Evaluator (acreditari ARACIS, CNCSIS etc.) al mai multor programe didactice si de cercetare (in 2010 am fost reconfirmat, prin examen, evaluator ARACIS). Expert in programele strategice nationale POSDRU: Calitate si leadership (2009, 2010), Doctorat in scoli de excelenta (2011), ETC.
  • Un scurt CURRICULUM VITAE disponibil la: http://www.astr.ro/membri/vintan-lucian_181
7 - Distinctii, premii, onoruri:
  • PREMIUL "TUDOR TANASESCU" al ACADEMIEI ROMANE, decernat in decembrie 2005, pt. monografia "Simularea si optimizarea arhitecturilor de calcul in aplicatii practice", Editura Matrix Rom, Bucuresti, 2003 (443 pg. + CD atasat continand o platforma complexa de simulare-optimizare a unor microarhitecturi avansate, dezvoltata de autori; co-autor dr. ing. A. Florea)
  • Titlul onorific de "Visiting Research Fellow" la Universitatea din Hertfordshire, Marea Britanie, obtinut in 2002
  • Diploma Universitatii "Lucian Blaga" din Sibiu (ULBS) pentru "competenta academica deosebita si servicii exceptionale aduse" (1999) respectiv Diploma si Medalia ULBS (cu efigia "L. Blaga") pentru merite academice, cu prilejul aniversarii a 220 de ani de invatamant superior sibian (2005). Diplome de excelenta pentru activitatea stiintifica desfasurata in anii 2012, 2013, 2015, 2016 etc., Facultatea de inginerie, ULBS. 
  • Expert activ al Comisiei Europene in domeniul "Computing Systems" (din 2005)
  • Best Paper Award la Conferinta Internationala IEEE RoEduNet 2010 (alaturi de doctorandul meu, Horia Calborean)
  • Diploma de excelenta a Editurii Matrix Rom Bucuresti "ca recunoastere a contributiei aduse la dezvoltarea patrimoniului literar stiintific romanesc.", februarie 2013
  • Diploma de excelenta in semn de pretuire pentru contributia adusa la dezvoltarea domeniului de Calculatoare si tehnologia informatiei”, Universitatea Politehnica din Bucuresti, Facultatea de Automatica si Calculatoare, 12.12.2014
  • Placheta aniversara Microcalculatorul Cobra - 30 de ani si Diploma “pentru aportul de inalt nivel academic in realizarea specializarii de ingineria calculatoarelor la Universitatea Transilvania din Brasov”, 10.10.2016, Universitatea “Transilvania” din Brasov si “Asociatia Cobra de educatie in ingineria calculatoarelor” (Prof. univ. dr. ing.  Gh. Toacse)
  • Premieri CNCSIS  / UEFISCDI pentru articolele cotate (ISI) Thomson Reuters (WoS) - B57, B58, B59 (2009), B80 (2015), B94 (2016) etc. din Lista de lucrari